iverilog app not recognizing full path

f m fma2000ca@yahoo.com
Mon Oct 15 02:40:00 GMT 2001


Thanks, Robert.  I tried your suggestion, and
it seems back-slash (even doubled) is not
recognized.  However, I found a Stupid Mistake.
A component of the path ("unknown" userid/directory)
is missing on some of the commands tried.

In short, the iverilog application requires
specificatoin format "c:/...", not "/home/..."
nor "/cygdrive/...", nor "c:\..." (the last
one not recognized by bash either).

Now I'm encountered yet another problem with
grep might be worthwhile posting....

Thanks.

Fred

--- Robert Collins <robert.collins@itdomain.com.au>
wrote:
> bash escapes the \ cahracter, so you need 
> 
> c:\\home\\....
> 
> Rob
> 


__________________________________________________
Do You Yahoo!?
Make a great connection at Yahoo! Personals.
http://personals.yahoo.com

--
Unsubscribe info:      http://cygwin.com/ml/#unsubscribe-simple
Bug reporting:         http://cygwin.com/bugs.html
Documentation:         http://cygwin.com/docs.html
FAQ:                   http://cygwin.com/faq/



More information about the Cygwin mailing list