glibc-bugs archive
subject index for April, 2005

This is the mail archive of the glibc-bugs@sourceware.org mailing list for the glibc project.

Indexes: [Date Index] [Subject Index] [Author Index] [Thread Index]
Site Nav: [Browse other archives for this mailing list]
[Browse other mailing lists at this site]
Search: Limit to:

[Bug admin/724] tracker for 2.3.5 release target bugs

[Bug admin/832] ldd contains a bashism

[Bug admin/832] New: ldd contains a bashism

[Bug admin/852] New: tracker for release 2.3.6 target bugs

[Bug admin/852] tracker for release 2.3.6 target bugs

[Bug faq/333] Do not report build errors in bugzilla!

[Bug hurd/722] missing weak aliases for setres{g,u}id in sysdeps/mach/hurd

[Bug libc/253] missing NLS in few places in glibc

[Bug libc/411] gcc defines __i686 macro, causing problems in sysdeps/i386/elf/setjmp.S

[Bug libc/516] dlopen() error message could be improved when loading different elf class

[Bug libc/612] makecontext broken on powerpc-linux

[Bug libc/671] It appears syslog can go into deadlock when it receives a signal where the signal handler also syslogs

[Bug libc/723] clone should align stack to 16 bytes

[Bug libc/725] ecvt et al should handle denormals

[Bug libc/726] tls lazy allocation can botch the dtv

[Bug libc/727] __environ can get reset

[Bug libc/728] update copyright years to 2005

[Bug libc/729] vDSO image bounds miscalculated

[Bug libc/730] libio fmemopen broken

[Bug libc/731] addseverity shouldn't strdup the string

[Bug libc/732] strptime warning cleanup & test improvement

[Bug libc/733] sunrpc svc_* call listen with low backlog limit

[Bug libc/734] telldir wrong after rewinddir

[Bug libc/735] stack misaligned for DSO constructors

[Bug libc/736] update tzcode/tzdata to 2005c

[Bug libc/737] support -mno-tls-direct-seg-refs builds

[Bug libc/738] RELRO crash when no standard library search dirs exist

[Bug libc/743] s390 string asm missing memory clobbers

[Bug libc/744] optimize sigemptyset for internal calls

[Bug libc/758] syscall.h not generated properly

[Bug libc/776] Inconsistent struct gconvcache_header

[Bug libc/781] Kernel Feature is missing 2.6 features for powerpc32/powerpc64

[Bug libc/796] tst-cancel* test fail with VDSO enable kernel.

[Bug libc/807] /usr/bin/ldd depends on bash.

[Bug libc/812] mktime does not return -1

[Bug libc/812] New: mktime does not return -1

[Bug libc/814] New: perror(3) generates an error

[Bug libc/814] perror(3) generates an error

[Bug libc/821] dlclose dependency bugs

[Bug libc/821] New: unload3 test case for dlclose

[Bug libc/821] unload3 test case for dlclose

[Bug libc/822] New: yp_2_yperr regression breaks NIS

[Bug libc/822] yp_2_yperr regression breaks NIS

[Bug libc/823] charmaps/WINDOWS-31J typo breaks some locale builds

[Bug libc/823] New: charmaps/WINDOWS-31J typo breaks some locale builds

[Bug libc/825] New: update tzdata/tzcode to 2005h

[Bug libc/825] update tzdata/tzcode to 2005h

[Bug libc/833] glibc CVS head build failure

[Bug libc/833] New: glibc CVS head build failure

[Bug libc/838] New: fork in a signal handler after a malloc

[Bug libc/840] New: strerror_r() not conforming to SUSv3

[Bug libc/844] elf/Makefile isn't parallel safe and has unnecessary dependency

[Bug libc/844] New: elf/Makefile isn't parallel safe and has unnecessary dependency

[Bug libc/851] execvp regression: wrong argv[0] value when using shell

[Bug libc/851] New: execvp regression: wrong argv[0] value when using shell

[Bug libc/859] New: When running under SCHED_FIFO, fork + malloc = infinite loop

[Bug libc/859] When running under SCHED_FIFO, fork + malloc = infinite loop

[Bug libc/863] New: __libc_start_main() resets __environ

[Bug libc/866] glob should match dangling symlinks

[Bug libc/866] New: glob should match dangling symlinks

[Bug libc/869] CVS glibc fails to install if build root PWD too long

[Bug libc/869] New: CVS glibc fails to install if build root PWD too long

[Bug libc/875] New: make install error on glibc-2.3.5

[Bug libc/877] acct() wrongly has __nonnull((1)) in glibc 2.3.5

[Bug libc/877] New: acct() wrongly has __nonnull((1)) in glibc 2.3.5

[Bug libc/888] New: The function timer_getoverrun() fails (segv) when passed the timer_id from the sig_info block.

[Bug libc/888] The function timer_getoverrun() fails (segv) when passed the timer_id from the sig_info block.

[Bug linuxthreads/739] pthread_getspecific / pthread_setspecific broken if single-threaded

[Bug linuxthreads/740] wrong attribute on thread_self for newer compilers

[Bug linuxthreads/810] pthread_create() doesn't fail with EPERM

[Bug localedata/448] vi_VN locale update request

[Bug localedata/484] Updated Afrikaans locale (af_ZA)

[Bug localedata/588] am_pm patch for el_GR

[Bug localedata/773] de_DE locale should use ISO date format according to national standards

[Bug localedata/826] New: new locale for Khmer

[Bug localedata/828] Glibc does not have localedata for Devanagari ISCII encoding

[Bug localedata/828] New: Glibc does not have localedata for Devanagari ISCII encoding

[Bug localedata/862] New: add bs_BA.UTF8 locale

[Bug localedata/870] New: Please add Kurdish locale

[Bug math/592] ia64 math library needs updating

[Bug math/710] initstate() does not save the current position of the previous state array

[Bug math/864] New: pow() causes segmentation fault by endless recursion

[Bug math/865] New: <tgmath.h> bug for binary and ternary functions with int and float

[Bug math/887] Math library function "logb" and "nextafter" inconsistent

[Bug math/887] New: Math library function "logb" and "nextafter" inconsistent

[Bug nptl/378] posix_spawn implementation, use vfork/execve rather than fork/execve for NPTL Linux.

[Bug nptl/837] can't setstack in share library.

[Bug nptl/837] New: can't setstack in share library.

[Bug nptl/839] New: Two "make check" failures with glibc-2.3.5

[Bug nptl/839] Two "make check" failures with glibc-2.3.5

[Bug nptl/842] getpid() not working with statically linking

[Bug nptl/842] New: getpid() not working with statically linking

[Bug nptl/849] build failure with nptl

[Bug nptl/849] New: build failure with nptl

[Bug nptl/856] glibc 2.3.5 fails to build nptl when uname -m returns i386

[Bug nptl/856] New: glibc 2.3.5 fails to build nptl when uname -m returns i386

[Bug nptl/881] New: NPTL threads inherit alternate signal stack

[Bug nptl/881] NPTL threads inherit alternate signal stack

[Bug nptl/884] New: There is error in nptl's nptl/pthreadP.h header file

[Bug nptl/884] There is error in nptl's nptl/pthreadP.h header file

[Bug nscd/489] nscd problem with multiple passwd databases

[Bug nscd/741] initgroups bugs

[Bug nscd/742] progress output fixups for nscd init script

[Bug nscd/745] nscd non-pie link broken when selinux libs used

[Bug nscd/798] nscd Makefile minor problem

[Bug regex/860] bad guard in find_recover_state do-while loop

[Bug regex/860] New: bad guard in find_recover_state do-while loop

[Bug regex/874] New: regcomp regression

[Bug regex/874] regcomp regression

glibc compile


Indexes: [Date Index] [Subject Index] [Author Index] [Thread Index]
Site Nav: [Browse other archives for this mailing list]
[Browse other mailing lists at this site]
Search: Limit to:

Mail converted by MHonArc 2.6.3